Welcome![Sign In][Sign Up]
Location:
Search - fpga pwm

Search list

[OtherAltera-FPGA-Guide

Description: Altera FPGA 的开发工具的详细教程,有例程与步奏-Altera FPGA development tools detailed guidance, routines and step-outs
Platform: | Size: 685056 | Author: yxc | Hits:

[VHDL-FPGA-VerilogSource

Description: PWM的Verilog HDL代码用于FPGA-PWM of the Verilog HDL code for FPGA
Platform: | Size: 2048 | Author: 张猛蛟 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 利用VHDL语言实现FPGA的PWM输出波形,占空比可控-FPGA using VHDL language realize the PWM output waveform, duty cycle controlled
Platform: | Size: 36864 | Author: 王传辉 | Hits:

[VHDL-FPGA-Verilog5050PWM_V54

Description: FPGA 实现基于ISA接口的3路编码器计数,和3路PWM/DA输出 编码器计数包括倍频、鉴相 PWM实现12位分辨率-FPGA-based ISA interface 3 Road encoder counts, and 3-way PWM/DA output encoder counts, including frequency doubling, phase PWM realize 12-bit resolution
Platform: | Size: 1084416 | Author: 吴波 | Hits:

[VHDL-FPGA-VerilogFPGA-basedMotorControl

Description: 基于FPGA的电机控制 FPGA-basedMotorControl-FPGA-based motor control FPGA-basedMotorControl
Platform: | Size: 63488 | Author: 朱明 | Hits:

[Otherfpgaandveriloghdl

Description: FPGA中嵌中高级课件,非常有用的课件,对于新手和老手都有很大的帮助!希望大家看了以后能够大大的提高自己的水平!-FPGA in the embedded high-class courseware, the courseware is very useful for both novice and veteran of great help! I hope everyone saw the future can greatly improve the level of their own!
Platform: | Size: 11936768 | Author: | Hits:

[VHDL-FPGA-Verilogavalon_pwm

Description: FPGA的avalon总线的接口 pwm测试程序-err
Platform: | Size: 2656256 | Author: yang | Hits:

[Otherdengjingdupinlvji

Description: 单片机和FPGA共同组成的系统,FPGA数据采集,单片机作数据的处理。板子是某家公司的。内附详细流程及说明。-MCU and FPGA co-component systems, FPGA data acquisition, single-chip microcomputer for data processing. Board are a company. Attached flow, and describe in detail.
Platform: | Size: 406528 | Author: 张兮兮 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[VHDL-FPGA-Veriloglai_PWM

Description: FPGA下PWM的Verilog 源码,含目标程序,可直接下载使用,可用在电机控制中-FPGA in Verilog source code under the PWM, including the target program, can be directly downloaded to use, can be used in motor control in
Platform: | Size: 867328 | Author: huangyongbing | Hits:

[VHDL-FPGA-VerilogPWM_LED

Description: 基于ALTERA公司NIOSII的LED灯控PWM IP核设计-ALTERA-based company controlled NIOSII the LED lamp PWM IP-core design
Platform: | Size: 10481664 | Author: 王超 | Hits:

[VHDL-FPGA-VerilogAltera_FPGA_pwm

Description: 基于FPGA(ALTERA公司的FPGA)PWM的测试程序。-Based on FPGA (ALTERA' s FPGA) PWM test procedures.
Platform: | Size: 2048 | Author: 王祥以 | Hits:

[VHDL-FPGA-Verilogfpga-pwm

Description: 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and simulation results, this procedure can be embedded directly used to do routines.
Platform: | Size: 1163264 | Author: 黄家武 | Hits:

[VHDL-FPGA-VerilogVGAPWM

Description: FPGA PWM control and VGA display use VHDL language with simulation.
Platform: | Size: 309248 | Author: xiaominjin | Hits:

[VHDL-FPGA-Verilogpwm

Description: 利用Verilog语言产生17路PWM波,控制17路舵机,可以作为IP核添加到AVALON总线上,在nios IDE里用C语言控制。-Using Verilog language production of 17 Road PWM signal to control 17 Servos, can be used as IP core to the AVALON bus, in the nios IDE in control with the C language.
Platform: | Size: 3072 | Author: 尹长生 | Hits:

[VHDL-FPGA-VerilogFPGA-PWM

Description: 用FPGA实现PWM信号生成,目前还是比较热门。也是很好的参考资料-PWM signal generation with the FPGA implementation, is still more popular. Is also a good reference
Platform: | Size: 259072 | Author: 于淼 | Hits:

[VHDL-FPGA-VerilogPWM

Description: PWM Source Code in VHDL For FPGA Devices
Platform: | Size: 484352 | Author: saber | Hits:

[VHDL-FPGA-Verilogpwm

Description: FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
Platform: | Size: 432128 | Author: cood | Hits:

[VHDL-FPGA-VerilogPWM-DCcontroller-design-on-FPGA

Description: 基于FPGA的直流电机PWM控制器设计,利用FPGA芯片设计了直流电机PWM控制器-DC motor PWM controller design based on FPGA
Platform: | Size: 218112 | Author: | Hits:

[VHDL-FPGA-VerilogPWM

Description: fpga下自定义PWM外设的代码,可直接自定义组件的方式加入到sopc的组件库-fpga PWM peripherals under the custom code, custom components can be directly added to the way the component library sopc
Platform: | Size: 1024 | Author: tzj | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net